Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - compteur

 

Objectifs du projet

  1. Savoir comment adapter la logique Arduino (5V) et FPGA (3.3V) (technique originale moins couteuse)
  2. Savoir comment Transfer chaque bits du convertisseur A/D de l’Arduino
  3. Savoir comment passer de 10 bits à 8 bits du convertisseur A/D
  4. Savoir comment modéliser une source de la tension variable (Capteur de la température actif)

Analyse de fonctionnement

Le projet consiste la mesure et l’affichage de la distance par un capteur ultrasonique en onction de la température ambiante par FPGA et Arduino. Le principe de mesure utilisé est la mesure de la largeur d’impulsion par un compteur haute fréquence (12 MHz).

La deuxième partie du projet sera consacrée à :

  1. La Conversion de la tension issue du capteur de la température sur 10 bits
  2. Le Transfère de la valeur numérique à l’FPGA sur 8 bits
  3. L’Affichage de la valeur sur 8 bits dans les LED du kit de développement FPGA
  4. Le système est équivalent à un capteur de température numérique sur 8 bits.

La partie deux du projet permet de simuler un capteur de température numérique qui sera intégré dans le calcul de la distance en fonction de la température au cœur de l’FPGA.

Adaptation des niveaux logiques entre FPGA & Arduino

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - adaptation 2

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - adaptation 1

D’après les deux graphes tirés du Datasheet du microcontrôleur ATMeg48A, la tension de fonctionnement de l’Arduino peut varie entre 1.8-5.5V, ainsi que la fréquence maximale dépend de la tension d’alimentation.

L’idée qui vient de l’esprit et de faire fonctionner le microcontrôleur avec une tension d’alimentation de 3.3V ! La solution évidente est de changer le régulateur de 5V dans le kit de développement avec un autre régulateur de la tension de 3.3V [Lien de l’article]. Cette solution demande un nouveau circuit intégré + le travail de dessoudage & soudage du nouveau composant 🙁

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation

La deuxième solution qui me semble pertinente et moins couteuse et d’alimenter le kit de développement Arduino avec une source d’alimentation de 5V externe MAIS dans la bouche Vin en débranchant le câble USB !! J’ai constaté que le niveau haut des sorties est de l’ordre de 3.8 V <5V !!

Ou bien alimenter le Kit Arduino avec une alimentation externe de 3.3V dans la broche 3.3V.  Danc ce projet l’adaptation se fait par une alimentation externe de 3.5V (voir le photo ci-desous), le niveau logique correspond est de 2.8V dans les pins de l’Arduino qui seront reliés avec la carte FPGA.

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation8

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation9

Assurez bien que le câble USB qui relie l’ordinateur et l’Arduino est bien débranché lorsque le kit Arduino est branché avec le kit FPGA, dans le cas contraire vous risquez de griller les ports de l’FPGA !

Dans notre cas c’est compatible avec les pins du PPGA qui peuvent supporter une tension maximale de 4.1V [DataSheet] (3.3 V Type) !Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - FPGA

Capteur de la température

Deux grands types de capteurs permettent de mesurer les températures en continu:

  • Les thermocouples

La résistance électrique d’un conducteur métallique croit avec la température. Les lois de variation étant très régulières, il est possible de les utiliser pour repérer les températures par des mesures de résistance. Cette variation est parfaitement réversible. On peut donc établir une relation entre la résistance R et la température T et ainsi relever T en mesurant R.

Différents métaux peuvent être utilisés comme le nickel et le cuivre mais c’est le platine qui est couramment utilisé car il offre l’étendue de mesure la plus grande (-250 à 1100 °C).

  • Les sondes métalliques

Dans un circuit comportant deux conducteurs de nature différente il apparaît une force électromotrice lorsque la variation de température est appliquée entre les deux soudures du couple ainsi formé. Le générateur thermoélectrique fournit une différence de potentiel (ddp) directement exploitable à l’entrée d’un amplificateur. Cette ddp est fonction de la différence de température entre la jonction dite de mesure (appelée aussi soudure chaude) et celle de référence (appelée aussi soudure froide) supposée connue.

Plus de détails : Lien 1 & Lien 2 

Dans ce projet on a modélise le capteur par une résistance variable (un potentiomètre)[Figure ci-dessous] en fonction de la température, la tension de sortie variée entre 0 et 5V l’image de la température 0° et 100°.

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - capteur température

Code Arduino du projet électronique

const int TempPin = A1;
int TempValue = 0;

const int OUT0 = 3;
const int OUT1 = 4;
const int OUT2 = 5;
const int OUT3 = 6;
const int OUT4 = 7;
const int OUT5 = 8;
const int OUT6 = 9;
const int OUT7 = 10;

void setup() {
  // déclaration des variables 

  pinMode(TempPin, INPUT);
  pinMode(OUT0, OUTPUT);
  pinMode(OUT1, OUTPUT);
  pinMode(OUT2, OUTPUT);
  pinMode(OUT3, OUTPUT);
  pinMode(OUT4, OUTPUT);
  pinMode(OUT5, OUTPUT);
  pinMode(OUT6, OUTPUT);
  pinMode(OUT7, OUTPUT);

}

void loop() {
  // Lecture du capteur de la température
  TempValue = analogRead(TempPin);

  // Conversion 10 bits ==> 8 bits
  TempValue = (TempValue >> 2) & (0x03FF);

  // Transfère de la valeur bit par bit
  if(TempValue & 0x0001) digitalWrite(OUT0, HIGH);
  if(TempValue & 0x0002) digitalWrite(OUT1, HIGH);
  if(TempValue & 0x0004) digitalWrite(OUT2, HIGH);
  if(TempValue & 0x0008) digitalWrite(OUT3, HIGH);
  if(TempValue & 0x0010) digitalWrite(OUT4, HIGH);
  if(TempValue & 0x0020) digitalWrite(OUT5, HIGH);
  if(TempValue & 0x0040) digitalWrite(OUT6, HIGH);
  if(TempValue & 0x0080) digitalWrite(OUT7, HIGH);

  //Période de réinitialisation
  delay(100);

  // Initialisation des sorites
  digitalWrite(OUT0, LOW);
  digitalWrite(OUT1, LOW);
  digitalWrite(OUT2, LOW);
  digitalWrite(OUT3, LOW);
  digitalWrite(OUT4, LOW);
  digitalWrite(OUT5, LOW);
  digitalWrite(OUT6, LOW);
  digitalWrite(OUT7, LOW);

}

Code VHDL du projet électronique

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity TempSens is
    Port ( IN_SENS : in  STD_LOGIC_VECTOR (7 downto 0);
           OUT_LED : out  STD_LOGIC_VECTOR (7 downto 0);
           RST : in  STD_LOGIC;
           CLK : in  STD_LOGIC);
end TempSens;

architecture Behavioral of TempSens is

begin

PROCESS (CLK,RST)
BEGIN
        IF (RST = '1') THEN
                OUT_LED <=(OTHERS =>'0');
        ELSIF (CLK'EVENT AND CLK='1') THEN
                OUT_LED<= IN_SENS;
        END IF;
END PROCESS;

end Behavioral;

Fichier des contraintes (pinout) du projet électronique

CONFIG VCCAUX = "3.3" ;

# Clock 12 MHz
NET "CLK"                  LOC = P129  | IOSTANDARD = LVCMOS33 | PERIOD = 12MHz;
NET "RST"        LOC = P70   | PULLUP  | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "IN_SENS[0]"           LOC = P31   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[1]"           LOC = P32   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[2]"           LOC = P28   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[3]"           LOC = P30   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[4]"           LOC = P27   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[5]"           LOC = P29   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[6]"           LOC = P24   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "IN_SENS[7]"           LOC = P25   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "OUT_LED[0]"             LOC = P46   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[1]"             LOC = P47   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[2]"             LOC = P48   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[3]"             LOC = P49   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[4]"             LOC = P50   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[5]"             LOC = P51   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[6]"             LOC = P54   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "OUT_LED[7]"             LOC = P55   | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

Photos du projet électronique

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation6

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation7

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation4

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation2

Projet électronique FPGA 4 2 sur 3 Capteur de distance ultrasonique à base du FPGA et Arduino - alimentation1

Vidéos du projet électornique

Un petit commentaire de vous, un Grand encouragement pour nous :)

– Bon Courage –

Click to rate this post!
[Total: 1 Average: 5]

1 réflexion sur “Projet électronique FPGA 4 #2/3 : Capteur de distance ultrasonique à base du FPGA & Arduino”

  1. Ping : Projet électronique #17: Sèche-mains ultrason avec Arduino – FPGA | Arduino | Matlab | Cours

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée. Les champs obligatoires sont indiqués avec *

Retour en haut

You have successfully subscribed to the newsletter

There was an error while trying to send your request. Please try again.

FPGA | Arduino | Matlab | Cours will use the information you provide on this form to be in touch with you and to provide updates and marketing.