Titre: VHDL – Logique programmable Partie 1 – Introduction

Auteurs: Denis Giacona

Ecole: École Nationale Supérieure d’Ingénieur Sud Alsace

Résumé:

  • Logique combinatoire : portes logiques traditionnelles AND et OR
  • Logique séquentielle : flip-flops Interconnexions : type antifusible
  • Logique combinatoire dans des look up tables (LUT) : type SRAM
  • Logique séquentielle : flip-flops
  • Interconnexions entre les cellules logiques dans mémoire de configuration : type SRAM

Extrait du sommaire:

1 Circuits logiques programmables CPLD et FG
2 Les niveaux d’entrée d’une description
3 Les modèles de description RTL
4 Choix du modèle de description, du niveau d’abstraction et de la méthode de traitement
5 De la conception à la programmation du circuit

Formation_VHDL_FPGA_cours_3

Télécharger le fichier PDF: VHDL – Logique programmable Partie 1 – Introduction

Retour en haut

You have successfully subscribed to the newsletter

There was an error while trying to send your request. Please try again.

FPGA | Arduino | Matlab | Cours will use the information you provide on this form to be in touch with you and to provide updates and marketing.